Differenza tra Verilog e SystemVerilog

December 9

Verilog è un HDL - linguaggio di descrizione hardware - che viene utilizzato dagli sviluppatori per descrivere l'hardware. Il nome di Verilog deriva dalla capacità del linguaggio sia di verificare e registrare lo sviluppo e l'implementazione di componenti elettronici. SystemVerilog è un'estensione di Verilog, e si espande su protocolli della HDL. A causa del loro rapporto, i due HDL sono molto simili. Tuttavia, ci sono alcuni fattori chiave che consentono di distinguerli l'uno dall'altro.

Interfaccia di programmazione

SystemVerilog cerca di mettere a fuoco le capacità di Verilog e migliorare la capacità del linguaggio di verificare chip per computer basati su IP. SystemVerilog espande su Verilog con l'attuazione del supporto linguaggio informatico "C", consentendo agli sviluppatori di definire protocolli di HDL nel popolari linguaggi di programmazione come C e C ++.

Sviluppo

Verilog è stato sviluppato da sistemi automatizzati di progettazione integrata nel 1985. Diciannove anni più tardi, Accellera SystemVerilog introdotto per estendere le funzionalità di Verilog. Verilog iniziato come un HDL privato, prima di essere reso pubblico nel 1980. Dopo Verilog HDL è diventato un pubblico, Acellera era in grado sia di espandere la serie di SystemVerilog e mantenere l'orfano Verilog HDL.

Oggetto e verifica basata su asserzioni

A differenza di Verilog, il SystemVerilog HDL contiene sia la verifica basato su oggetti e basata su asserzioni. SystemVerilog può essere usato per fare vero / falso tipo affermazioni su moduli di test comunemente usati, che taglia una parte del lavoro di attuare moduli di test esterni per il processo di verifica.

Etichette IEEE

Verilog è assegnata la classificazione IEEE 1364 dall'Institute of Electrical and Electronics Engineers, mentre SystemVerilog è etichettato come IEEE 1800. La IEEE decide su una serie di standard, o specifiche, che i progetti devono soddisfare per portare l'etichetta "IEEE". Le etichette numeriche aiutano a distinguere Verilog e SystemVerilog da innumerevoli altri standard e progetti della IEEE - ci sono oltre 1.300 i protocolli hardware e software sviluppati dal comitato IEEE.