Come bloccare un Pin in VHDL

September 6

VHDL sta per "VHSIC linguaggio di descrizione hardware." Questo linguaggio di programmazione funziona con l'automazione della progettazione elettronica di creare circuiti integrati, gate array e altri sistemi. Quando si lavora con un pacchetto software che utilizza VHDL nella progettazione di sistemi, è possibile importare file VHDL e quindi bloccare i piedini del dispositivo che si sta analizzando i segnali di particolari dal dispositivo di prova.

istruzione

1 Importare il file VHDL che si desidera lavorare. Se cantare ispLEVER, andare a "Source" e poi "Importa". Una finestra di dialogo pop-up, così si dovrebbe scegliere la cartella in cui si trova il file desiderato. Fare clic su "Apri" e trovare il file al suo interno. Scegliere "Modulo VHDL" quando viene visualizzata la finestra di dialogo "Importa Tipo origine", e poi ha colpito "OK."

2 Vai alla finestra di dialogo che contiene i sorgenti che si sta utilizzando per il progetto. In ispLEVER, questo sarà sotto "Fonti nel progetto." Trova "Processi per la fonte di corrente" e fare doppio clic su "Editor vincolo."

3 Muovi il mouse per "Loc" nella barra degli strumenti della finestra vincolo e fare clic su di esso. Quando la finestra di dialogo elenco dei segnali si apre, collegare i numeri dei pin per i loro incarichi. Quando avete finito di segnali ai loro perni di bloccaggio, è possibile fare clic su "OK" per salvare la configurazione. Salvare tutti questi cambiamenti.