Come convertire binario Mux di One Hot

August 14

linguaggio binario è utilizzato in programmazione di computer per creare software o codice di applicazioni per Windows Visual Basic e il sistema operativo Windows. vettori binari possono avere più valori che possono essere fonte di confusione e portare a diverse finestre di codifica sul computer. Se si desidera convertire i vettori binari in una serie di input caldo, è possibile farlo con un codice attraverso il terminale, o il comando del computer. Una volta implementato, si sarà in grado di convertire il vettore mux binario in una hot line.

istruzione

1 Aprire il terminale o prompt dei comandi attraverso la lista "Programmi" sul pulsante "Start".

2 Digitare:

IEEE biblioteca;
usare ieee.std_logic_1164.all;
usare ieee.numeric_std.all;

entità onehot_binary è
porta (onehot_in: in std_logic_vector;

binary_out : out std_logic_vector );

inizio

assert 2**binary_out'length = onehot_in'length severity failure;

fine;

architettura rtl di onehot_binary è

Funzione one_hot_to_binary (

One_Hot : std_logic_vector ;
size : natural

) Ritorno std_logic_vector è

variable Bin_Vec_Var : std_logic_vector(size-1 downto 0);

inizio

Bin_Vec_Var := (others => '0');

perché in One_Hot'range ciclo
se One_Hot (I) = '1' poi
Bin_Vec_Var: = Bin_Vec_Var o std_logic_vector (to_unsigned (I, calibro));
finisci se;
fine ciclo;
tornare Bin_Vec_Var;

funzione di finire;

inizio

binary_out <= one_hot_to_binary (onehot_in, binary_out'length);

fine;

3 Premere il tasto "Enter" per sostituire i MUX binari sul proprio sistema ad un ingresso piombo caldo.

Consigli e avvertenze

  • Il std_logic_1164.all; linea di codice sostituisce i binari sul computer a uno.